4 خرداد 1403

نرم افزار مدل سیم و انجام پروژه های کاربردی و دانشجویی با ModelSim

معرفی ModelSim ModelSim یک ابزار شبیه سازی و اشکال زدایی قدرتمند و پرکاربرد در زمینه اتوماسیون طراحی الکترونیکی (EDA) است. ModelSim که توسط Mentor Graphics، یک تجارت زیمنس، توسعه و به بازار عرضه شده است، عمدتاً برای شبیه سازی و تأیید طرح های زبان توصیف سخت افزار (HDL) نوشته شده به زبان هایی مانند VHDL…

ادامه مطلب

ارسال شده توسط

مدیر